¿Cómo Funciona un Display 7 Segmentos BCD en Proteus?

 7SEG-BCD


Un Display 7 Segmentos BCD (Binary Coded Decimal) es un tipo de pantalla que muestra los números del 0 al 9 utilizando siete segmentos individuales.

Cada segmento esta denominado por una letra, como se muestra a continuación:


 Recuerda!!! 
 Si no sabes como encontrar este componente en Proteus, te invito a que veas este Post 

Como encontramos un Display 7 segmentos BCD en Proteus
(Dale clic a la imagen, para ir al Post)

Configuración de Números

  • Para ello vamos a utilizar 4 Estados Lógicos

 Recuerda!!! 
 Si no sabes como encontrar este componente en Proteus, te invito a que veas este Post 

(Dale clic a la imagen, para ir al Post)

  • Después de localizarlo, procedemos a elaborar el siguiente esquemático: 


 Importante!!! 

Para ejecutar la simulación debes pasar del estado 1 al estado 2.

estado 1

estado 2

Números (0,1,2,3,4,5,6,7,8,9)



Numero 0



Numero 1



Numero 2



Numero 3



Numero 4



Numero 5



Numero 6



Numero 7



Numero 8



Numero 9



Letras (a,b,c,d,e,f)



Letra a



Letra b



Letra c



Letra d



Letra e



Letra f


Publicar un comentario

0 Comentarios